diff options
author | Adam Stankiewicz <sheerun@sher.pl> | 2017-09-28 22:18:09 +0200 |
---|---|---|
committer | Adam Stankiewicz <sheerun@sher.pl> | 2017-09-28 22:18:09 +0200 |
commit | 27903c5b8656c796564ef073c1ebe77a2f0154e1 (patch) | |
tree | 2b0a3a14494d7976fb79a7517706e25d2a95d080 /syntax/ahdl.vim | |
parent | d5e38fa97bc50a93a66473d6cd7072fbcbadda57 (diff) | |
download | vim-polyglot-3.0.0.tar.gz vim-polyglot-3.0.0.zip |
Revert inlining basic language packv3.0.0
Diffstat (limited to 'syntax/ahdl.vim')
-rw-r--r-- | syntax/ahdl.vim | 85 |
1 files changed, 0 insertions, 85 deletions
diff --git a/syntax/ahdl.vim b/syntax/ahdl.vim deleted file mode 100644 index bdb8ca0a..00000000 --- a/syntax/ahdl.vim +++ /dev/null @@ -1,85 +0,0 @@ -if !exists('g:polyglot_disabled') || index(g:polyglot_disabled, 'vim') == -1 - -" Vim syn file -" Language: Altera AHDL -" Maintainer: John Cook <john.cook@kla-tencor.com> -" Last Change: 2001 Apr 25 - -" quit when a syntax file was already loaded -if exists("b:current_syntax") - finish -endif - -"this language is oblivious to case. -syn case ignore - -" a bunch of keywords -syn keyword ahdlKeyword assert begin bidir bits buried case clique -syn keyword ahdlKeyword connected_pins constant defaults define design -syn keyword ahdlKeyword device else elsif end for function generate -syn keyword ahdlKeyword gnd help_id if in include input is machine -syn keyword ahdlKeyword node of options others output parameters -syn keyword ahdlKeyword returns states subdesign table then title to -syn keyword ahdlKeyword tri_state_node variable vcc when with - -" a bunch of types -syn keyword ahdlIdentifier carry cascade dffe dff exp global -syn keyword ahdlIdentifier jkffe jkff latch lcell mcell memory opendrn -syn keyword ahdlIdentifier soft srffe srff tffe tff tri wire x - -syn keyword ahdlMegafunction lpm_and lpm_bustri lpm_clshift lpm_constant -syn keyword ahdlMegafunction lpm_decode lpm_inv lpm_mux lpm_or lpm_xor -syn keyword ahdlMegafunction busmux mux - -syn keyword ahdlMegafunction divide lpm_abs lpm_add_sub lpm_compare -syn keyword ahdlMegafunction lpm_counter lpm_mult - -syn keyword ahdlMegafunction altdpram csfifo dcfifo scfifo csdpram lpm_ff -syn keyword ahdlMegafunction lpm_latch lpm_shiftreg lpm_ram_dq lpm_ram_io -syn keyword ahdlMegafunction lpm_rom lpm_dff lpm_tff clklock pll ntsc - -syn keyword ahdlTodo contained TODO - -" String contstants -syn region ahdlString start=+"+ skip=+\\"+ end=+"+ - -" valid integer number formats (decimal, binary, octal, hex) -syn match ahdlNumber '\<\d\+\>' -syn match ahdlNumber '\<b"\(0\|1\|x\)\+"' -syn match ahdlNumber '\<\(o\|q\)"\o\+"' -syn match ahdlNumber '\<\(h\|x\)"\x\+"' - -" operators -syn match ahdlOperator "[!&#$+\-<>=?:\^]" -syn keyword ahdlOperator not and nand or nor xor xnor -syn keyword ahdlOperator mod div log2 used ceil floor - -" one line and multi-line comments -" (define these after ahdlOperator so -- overrides -) -syn match ahdlComment "--.*" contains=ahdlNumber,ahdlTodo -syn region ahdlComment start="%" end="%" contains=ahdlNumber,ahdlTodo - -" other special characters -syn match ahdlSpecialChar "[\[\]().,;]" - -syn sync minlines=1 - -" Define the default highlighting. -" Only when an item doesn't have highlighting yet - -" The default highlighting. -hi def link ahdlNumber ahdlString -hi def link ahdlMegafunction ahdlIdentifier -hi def link ahdlSpecialChar SpecialChar -hi def link ahdlKeyword Statement -hi def link ahdlString String -hi def link ahdlComment Comment -hi def link ahdlIdentifier Identifier -hi def link ahdlOperator Operator -hi def link ahdlTodo Todo - - -let b:current_syntax = "ahdl" -" vim:ts=8 - -endif |